Kurssi: Digitaalitekniikka, pidettiin torstaisin ajalla 2.3.2017–24.5.2018

Ensi torstaina 9.3. teemme tunnukset Xilinxin sivuille ja oikeanlaiset WebPack-lisenssit Vivadoon, ohjeet löytyvät myös täältä.

Katsomme kuinka moni haluaa lähteä PYNQ-kehityslaudan yhteishankintaan, sillä emme jää odottelmaan Arty Z7 -lautoja koska 7020-version hinta ei tule olemaan merkittävästi PYNQiä edullisempi ja julkaisu saattaa venyä pitkälle huhtikuun puolelle. Jos haluat osallistua kimppaan, kirjoita nimesi listaan, arvio lopullisesta hinnasta 280€. Jos haluaa edullisemman laudan, niin MYIR Z-turnilla ilman Capeakin pärjään kurssilla.

Kertaamme myös lyhyesti viimekerralla käydyt asiat, eli vielä kerkiää hyvin mukaan.

Uusia asioita:

  • demotaan pikaisesti Vivadolla perus Hello World Zynq -projekti
  • Windows käyttäjät asentavat Puttyn tai muun ohjelman jolla pystyvät kirjautumaan SSH:lla labin Red Pitaya Zynq -lautaan
  • tehdään Zynqillä Hello World C-kielellä
  • jaetaan muistitikulta kopioitavaksi “Vivado HLx 2016.4: All OS installer Single-File Download (TAR/GZIP - 20.59 GB)” jota voi sitten annettujen ohjeiden mukaan kotosalla asennella ensi kerraksi
2 Likes