Kurssi: Digitaalitekniikka-3, FPGA-ohjelmoinnin jatkokurssi, viimeinen kerta oli 16.6.2016

Kurssin aiheena erityisesti Xilinxin ohjelmoitavat FPGA-piirit Verilog-kielellä. Keskitymme Spartan-6 ja Artix-7 piireihin ISE ja Vivado kehitysympäristöillä. Kurssilla tarvitaan Digitaalitekniikka-2, Ohjelmoitavien logiikkapiirien perusteet -kurssin tai vastaavat tiedot sekä oma tietokone jossa joko ISE tai Vivado asennettuna. Kurssilla voi myös käyttää Alteran tai Latticen piirejä, jos osaa itse soveltaa perusteet omalle ympäristölleen.

Ainakaan alussa ei tarvitse vielä omaa FPGA-kehityslautaa, mutta jos sellaisen kuitenkin haluaa hankkia, kannattaa hankinnasta (50-150e) ensin keskustella kurssin vetäjien kanssa.

Alussa etenemme aika johdetusti, mutta kesää kohti mentäessä olisi hyvä jos jokaisella olisi joku idea omasta projektista mitä, jota voisi sitten jo kurssin loppupuolella alkaa toteuttelemaan. Näiden pohjalta myös vedetään tarvittaessa syventäviä workshoppeja, mm. prosessoriarkkitehtuureista kiinnostuneille PDP-8 toteutus jne.

Ideaoita voi haeskella vaikka youtubesta ‘fpga final projects’ jolla löytää mm. yliopistokurssien harjoituksia:

Vaikka VGA/HDMI-kuvanmuodostus ei omaan projektiin varsinaisesti kuuluisi, kannattaa sitä sen verran opetella että osaa visualisoida omaa projektiaan kehitysvaiheessa, kun varsinaista debuggaustyökaluja ei simuloinnin lisäksi ole.

Ja vielä kertaus alkeiskurssin sisällöstä:

Näyttää vahvasti siltä, että tämä kolmoskurssi vedetään pääasiallisesti ISE:llä ja Spartan-6:lla, meillä on aika hyvin eri levyjä käytössä:

Uutislinkki, Bio coding language makes it easier to hack living cells:

That’s where Verilog comes in. Verilog is a symbolic language that lets
you specify the function of an electronic circuit in shorthand – without
having to worry about the underlying hardware – and then convert it
into a detailed design automatically. Voigt’s team realised they could
do the same with DNA circuits.

Tällä viikolla ei ole opetusta ja kolmoskurssin viimeinen kerta pidetään torstaina 16.6. ja sitten jäämme kesätauolle ja jatkamme neloskurssilla syyskuussa.

Ensi viikolla ohjelmassa yksinkertaisen prosessorin totetutusta, otetaan pohjaksi PDP-8 josta Antti on tekemässä sitten syvällisempää totetutusta.

Myös muita arkkitehtuureita voidaan käsitellä osallistujien mielenkiinnon mukaan, esim. tässä on hieno projekti Monster 6502.

Jatkamme syyskussa neloskurssin merkeissä, silloin todennäköisesti Xilinxin Vivadolla ja Zynq -piireillä.